**这是本文档旧的修订版!**

=====WIFI_ESP8266通信系统设计=====

实验任务

  • 任务:基于 STEP-MAX10M08核心板 和 STEP BaseBoard V3.0底板 完成WIFI_ESP8266通信系统设计并观察调试结果
  • 要求:通过手机或电脑网络调试助手给ESP8266模块发送数据,FPGA驱动ESP8266模块获取数据,并显示在底板的数码管上。
  • 解析:要通过ESP8266实现WIFI通信有多种方式,本实验采用方式:FPGA驱动ESP8266模块,将ESP8266配置成SoftAP模式同时配置成TCP Server,手机或电脑连接ESP8266的WIFI热点,网络调试助手TCP Client连接到ESP8266的TCP Server,并发送数据,FPGA读取ESP8266数据并处理,最后显示在8位数码管上。

实验目的

在前面串口监视系统设计实验中我们学习了UART总线的驱动原理及设计实现,本实验主要了解WIFI通信TCP协议,熟悉AT指令集,掌握ESP8266模块的配置方法,最终通过FPGA编程实现对ESP8266模块的配置应用。

  • 熟悉基本网络通信原理,简要了解TCP协议
  • 熟悉AT指令集,掌握ESP8266模块的配置方法
  • FPGA通过UART配置ESP8266模块的设计实现
  • 完成WIFI_ESP8266通信系统设计实现

设计框图

根据前面的实验解析我们可以得知,该设计可以拆分成以下功能模块实现,

  • WIFIESP8266:TOP模块,同时负责对ESP8266配置和处理接收的数据。 * Baud:控制UART通信数据传输速率。 * UartRx:根据数据传输速率节拍控制UART数据接收。
  • UartTx:根据数据传输速率节拍控制UART数据发送。 * Segmentscan:通过驱动底板扫描式数码管将串口接收的数据显示出来。

Top-Down层次设计 模块结构设计

实验原理

ESP8266模块介绍

ESP8266模块连接

ESP8266模块配置流程

系统总体实现

实验步骤

  1. 双击打开Quartus Prime工具软件;
  2. 新建工程:File → New Project Wizard(工程命名,工程目录选择,设备型号选择,EDA工具选择);
  3. 新建文件:File → New → Verilog HDL File,键入设计代码并保存;
  4. 设计综合:双击Tasks窗口页面下的Analysis & Synthesis对代码进行综合;
  5. 管脚约束:Assignments → Assignment Editor,根据项目需求分配管脚;
  6. 设计编译:双击Tasks窗口页面下的Compile Design对设计进行整体编译并生成配置文件;
  7. 程序烧录:点击Tools → Programmer打开配置工具,Program进行下载;
  8. 观察设计运行结果。

实验现象