差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

supply1  [2018/09/14 16:11]
group001 创建
supply1  [2018/09/17 08:41] (当前版本)
group001
行 2: 行 2:
   supply1 vdd;   supply1 vdd;
   supply0 gnd;   supply0 gnd;
 +强度值(Strengths)\\
 +有强度的网络可以使模块更加精确。\\
 +\\
 +语法\\
 +<code verilog>
 +( strength0 [, strength1 ] ) | ( strength1 [, strength0 ] ) | cap_strength ​
 +strength0 = highz0 | pull0 | strong0 | supply0 | weak0
 +strength1 = highz1 | pull1 | strong1 | supply1 | weak1
 +cap_strength = large | medium | small
 +</​code>​
 +强度表如下:\\
 +Strength level\\
 +^ Strength level       ^ Name         ^ Keyword ​                             ^
 +^ 7        | Supply drive       | supply0 , supply1 ​                                                     |
 +^ 6        | Strong drive          | strong0 , strong1 ​                                                           |
 +^ 5         | Pull drive   | pull0 , pull1                                              |
 +^ 4         | Large capacitive ​  | large                                                |
 +^ 3        | Weak drive      | weak0 , weak1                          |
 +^ 2          |Medium capacitive ​   |medium ​                                     |
 +^ 1         | Small capacitive ​   | small                                      |
 +^ 0         | High impedance ​   | highz0 , highz1 ​                                    |
 +
 +例程\\
 +<code verilog>
 +and (strong1, weak0) u1 (Q, A, B);
 +trireg (small) C1;
 +assign (weak1, strong0) Q = A + B;
 +</​code>​