差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
quartus_prime的使用 [2017/05/31 11:05]
group002
quartus_prime的使用 [2017/05/31 15:22] (当前版本)
group002
行 49: 行 49:
 module LED_shining module LED_shining
 ( (
-input clk_in, ​ //clk_in ​12mhz +input clk_in, ​           //时钟输入=12M 
-input rst_n_in, ​ //rst_n_in, active low +input rst_n_in, ​         //复位输入,低电平有效 
-output led1,  //led1 output +output led1,             ​//输出LED1 
-output led2  //led2 output+output led2              //输出LED2
 ); );
  
-parameter CLK_DIV_PERIOD = 12_000_000; ​+parameter CLK_DIV_PERIOD = 12_000_000; ​    //​时钟周期参数=12M
  
 reg clk_div=0; reg clk_div=0;
  
-//wire led1,led2; 
 assign led1 = clk_div; assign led1 = clk_div;
 assign led2 = ~clk_div; assign led2 = ~clk_div;
  
-//clk_div = clk_in/​CLK_DIV_PERIOD 
 reg[24:0] cnt=0; reg[24:0] cnt=0;
 always@(posedge clk_in or negedge rst_n_in) begin always@(posedge clk_in or negedge rst_n_in) begin