**这是本文档旧的修订版!**

Quartus Prime的上手使用

1.创建第一个工程

1.1新建工程

(1)启动Quartus软件:双击Quartus系列软件图标,界面如下(以Quartus Prime16.1为例)。 (2)创建工程:点击File→New Project Wizard选项 或 点击Home页面中的New Project Wizard图标。 (3)工程目录、名称填写:(注意:工程目录中不能有汉语、空格等字符)

  • 工程目录:选择新建工程的目录;
  • 工程名称:填写工程名称;
  • 顶层模块名称:设计文件中top module的模块名称,软件默认跟工程名称相同。

(4)工程类型:选择Empty project,点击Next。 (5)添加文件,如果已有设计文件,在当前页面选择并添加,点击Next。 (6)器件选择:根据开发平台使用的FPGA选择对应器件(10M02SCM153I7G/10M08SCM153C8G),点击Next。 (7)EDA工具选择:选择第三方EDA工具:如果有需要可以选择第三方的综合或仿真工具(第三方工具需要另外安装并设置启动路径),这里我们选择使用modelsim工具仿真,点击Next。