**这是本文档旧的修订版!**

Quarus Prime安装配置

1.概述

Quartus II 是Intel(原Altera)公司的综合性PLD/FPGA开发软件,作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,具有运行速度快,界面统一,功能集中,易学易用等特点,越来越受到数字系统设计者的欢迎。

  • 可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件
  • 支持大量ALTERA的IP核,简化了设计的复杂性,加快了设计速度
  • 可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析

支持Windows系统,Linux系统和Unix系统,提供了完善的用户图形界面设计方式。

2.软件安装

2.1 Quartus Prime软件下载

(1)打开Intel-Altera官网,找到Quartus系列软件安装包下载页面,下载软件安装包。

      Intel-Altera官网:https://www.altera.com.cn/
      软件下载:https://www.altera.com/downloads/download-center.html
      选择软件版本和操作系统,同时勾选Quartus Prime、ModelSim-Intel FPGA Edition和MAX 10系列器件支持