**这是本文档旧的修订版!**

点亮LED

就像软件编程里的“Hello World”,点灯是FPGA学习的第一步,不要小瞧这么一颗小小的灯,点灯的方式可以有多种。在本节,我们通过4个点灯的程序达到以下的目标:

  1. 体验LED的工作原理;
  2. 了解Verilog代码的结构和基本的语法规范
  3. 体会HDL语言中的bit和FPGA端口电信号的关系
  4. 体验FPGA设计从创建工程 –》 输入代码 –》 逻辑综合 –》 分配管脚 –》 生成可下载的JED文件 –》 配置FPGA整个过程(理论知识参见FPGA设计流程

在本实例中,我们以Web IDE为例,同样的示例也可以通过Lattice的官方FPGA设计工具Diamond或Intel的官方设计工具quartus_prime来实现,只是要注意这些工具的使用方法和管脚的正确分配。

6. 小结

通过4段代码,我们体验了对LED的开关控制以及最基本的Verilog语法要求和代码构成,以及开关和按键的控制差异,这为后期的输入输出控制、状态输出展示打下了基础。