差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
logic_mux [2021/09/28 18:49]
gongyu [多路选择器 - MUX]
logic_mux [2021/10/03 02:06] (当前版本)
gongyu [4. 几种常用的多路复用器]
行 1: 行 1:
-## 多路选择器 - MUX+## 多路复用器 - MUX
 在通信系统中一个非常有趣的概念就是多路复用(Multiplexing),使用组合逻辑实现的电路被称为多路复用器(Multiplexer)。本节的内容将包括什么是多路复用器、有哪些不同的多路复用器,如2:1,​ 4:1, 8:​1和16:​1多路复用器,一些常用的多路复用ic以及多路复用器的一些重要应用。 在通信系统中一个非常有趣的概念就是多路复用(Multiplexing),使用组合逻辑实现的电路被称为多路复用器(Multiplexer)。本节的内容将包括什么是多路复用器、有哪些不同的多路复用器,如2:1,​ 4:1, 8:​1和16:​1多路复用器,一些常用的多路复用ic以及多路复用器的一些重要应用。
  
 +### 1. 什么是多路复用?
 多路复用是将一个或多个信号组合在一个信道上传输的过程。在模拟通信系统中,通信信道是一个稀缺量,必须正确使用。为了节省成本和高效地使用信道,复用的概念非常有用,因为它允许多个用户以逻辑方式共享单个信道。 多路复用是将一个或多个信号组合在一个信道上传输的过程。在模拟通信系统中,通信信道是一个稀缺量,必须正确使用。为了节省成本和高效地使用信道,复用的概念非常有用,因为它允许多个用户以逻辑方式共享单个信道。
  
-The three common types of Multiplexing approaches are+常见的三种多路复用类型:
-  * Time +  * 时间 - 时分复用TDM 
-  * Frequency +  * 频率 - 频分复用FDM 
-  * Space+  * 空间 - 空分服用SDM
  
 我们日常生活中使用的多路复用系统的两个最好的例子是固定电话网络和有线电视。 我们日常生活中使用的多路复用系统的两个最好的例子是固定电话网络和有线电视。
行 15: 行 16:
 它们通常用于在多个源和单个目的地之间形成选定的路径。一个基本的多路复用器有不同的数据输入线和单一的输出线。在许多数字系统应用中,如数据选择和数据路由,逻辑函数发生器,多路显示数字计数器,电话网络,通信系统,波形发生器等。在本文中,我们将讨论多路复用器的类型及其设计。 它们通常用于在多个源和单个目的地之间形成选定的路径。一个基本的多路复用器有不同的数据输入线和单一的输出线。在许多数字系统应用中,如数据选择和数据路由,逻辑函数发生器,多路显示数字计数器,电话网络,通信系统,波形发生器等。在本文中,我们将讨论多路复用器的类型及其设计。
  
-多路选择Multiplexer是一种最常用的组合逻辑电路,它根据选择(select)信号的值从几种可能的输入中选择一个作为输出,它有时也简称为MUX。+### 2. 什么是多路复用
 +多路复用器(Multiplexer)是一种最常用的组合逻辑电路,它根据选择(select)信号的值从几种可能的输入中选择一个作为输出,它有时也简称为MUX。
  
-什么多路复用器?+{{drawio>​multiplexer_a_d.png}} 
 +关于模拟复用器: 
 +数字复用器在其电平范围内对输入信号进行高、低处理,模拟复用器不施加任何的处理,直接让模拟信号通过,适用于交流信号(数据选择器)。可以双向的,也即同时充当解复用器(数据分配器)的作用。
  
 多路复用器或MUX是一种数字开关,也称为数据选择器。它是一个多输入线、多输出线和多选择线的组合逻辑电路。它接受来自多个输入行或源的二进制信息,根据选择行的集合,将特定的输入行路由到单个输出行。 多路复用器或MUX是一种数字开关,也称为数据选择器。它是一个多输入线、多输出线和多选择线的组合逻辑电路。它接受来自多个输入行或源的二进制信息,根据选择行的集合,将特定的输入行路由到单个输出行。
行 35: 行 39:
 这些多路复用器可在不同的输入和选择线配置的IC形式。一些可用的多路复用ic包括74157 (Quad 2对1 MUX), 78158 (Quad 2对1 MUX与逆输出),74153(4对1 MUX), 74152(8对1 MUX)和74150(16对1 MUX)。 这些多路复用器可在不同的输入和选择线配置的IC形式。一些可用的多路复用ic包括74157 (Quad 2对1 MUX), 78158 (Quad 2对1 MUX与逆输出),74153(4对1 MUX), 74152(8对1 MUX)和74150(16对1 MUX)。
  
-https://www.electronicshub.org/​multiplexer-and-demultiplexer/ ​+### 4几种常用的多路复用器 
 +  * [[mux_2_1|2:​1 多路复用器]] 
 +  * [[mux_4_1|4:​1 多路复用器]] 
 +  * [[8:​1_mux|8:​1 多路复用器]] 
 +  * [[16:​1_mux|16:​1 多路复用器]] 
 +  * [[2x32_32_mux|2x32to32多路复用器]]
  
-### 1工作原理 +### 5. 多路复用器的应用: 
-多路选择器multiplexer也被成为复用器或者据选择器,简称为MUX,其功能是从多个可能的输入端中选择一作为输出。+在所有类型的字系统应用中多路复用器有巨大的用途。由于多路复用器允许多个输入独立地连接到单个输出,因此多路复用器可以应用于各种应用,包括数据路由、逻辑函数生成器、控制程序、并行到串行转换器等
  
-### 1.1 2:1多选择器 +#### 5.1 数据 
-图  给出了2:1多路选择器的原理图和真值表它有两个输入信号D0和D1,一个选择输入S和一个输出Y。多路选择根据选择信号的值在两个输入据中选择一个作为输出,如果S=0,Y=D0;如果S=1,Y=D1,S也被成为控制信号(control signal),因为它控制多路选择其如何操作+多路复用广泛用于数据路由应用程序,从多个源中一个将数据路由到一个特定的目的地其中一个应用包括显示两个多位数BCD计数器,一一个。在这种应用中,74157多路复用ic使用一套解码和LED显示器来选择和显示两个BCD计器的内容 
 +{{ :​74157-multiplexer-ic-in-data-routing.jpeg |}}
  
 +#### 5.2 逻辑函数发生器
 +在逻辑门的位置,可以使用多路复用器生成逻辑表达式。可以连接多路复用器,使其复制任何真值表的逻辑。在这种情况下,它可以生成一组输入变量的布尔代数函数。
 +由于多路复用器是一个单一的集成电路,这就突然减少了执行逻辑功能的逻辑门或集成电路的数量。在这类应用中,多路复用器被视为逻辑函数生成器。
 +例如,考虑下面的逻辑图来实现三个输入的前或函数。在这个逻辑发生器中使用了一个74151A 8对1复用器。这个多路复用器的工作原理与实现相同功能的一组逻辑门完全相似。
 +数据输入D1、D2、D5、D6分别通过选择线001、010、100、111进行选择,输出F为1。
 +{{ :​logic-function-generatot-truth-table1.jpeg |}}
 +{{ :​pinout-of-ic74151a.jpeg |}}
  
-4选1多路选择器即从输入的四个数据中选择其中一个。通过定义两个变量,产生四种状态,分别对应四个数的输出。由此可得到如下真值表。将a,b,c,d,s0,s1输出Y关系写成逻辑表达式则得到:\\  +#### 5.3 并行-串行转换 
-  +利用多路复用电路将并行数据转换为串行数据通过将并行总线转换为串行信号来减少并行总线的数量。在电信、测试和测量、军事/​航空航天、数据通信应用中需要这种类型的转换。 
-Y=a(s0’s1’)+b(s0’s1)+c(s0s1’)+d(s0s1)\\ ​+在大多数数字系统中,数据被并行处理以达到更高的速度。但是为了远距离传输数据信号,我们需要更多的线路。在这种情况下,并行数据被转换成串行形式使用多路复用器。 
 +下图显示了使用8输入多路复用器并行到串行数据转换。从寄存器的数据或他寄存器的并行数据应用于多路复用器的8条输入线。 
 +多路复用器的选择码由一个3位计数器产生。随着每时钟脉冲在计器上应用,数据被串行地从多路复用器输出。 
 +{{ :​parallel-to-serial-data-conversion-using-multiplexer.jpeg |}} 
 +多路复用器的其他应用包括控制程序、脉冲序列发生器、编码器、用于记录数据传输的寄存器、波形发生器等。 
 + 
 +结论 
 +完成多路复用(MUX)和多路复用教程。你学习了多路复用的基础知识,多路复用器,不同类型的常用多路复用器,如2:​1 MUX4:1 MUX8:1 MUX16:1 MUX,它们布尔表达式,逻辑电路,以及多路复用器的一些重要应用。
  
  
-{{::​4选1多路选择器真值表.png?​nolink&​500|}} \\  ​ 
  
-### 2. 逻辑描述 
- Y = S0 S1 D0 + S0 S1 D1 + S0 S1 D2 + S0 S1 D3  
  
-### 3. 电路实现 
-{{ :​internal-circuit-of-quad-2-to-1-mux.jpeg |}} 
-{{ :​logic-circuit-of-4-to-1-mux.jpeg |}} 
-{{ :​logic-circuit-of-8-to-1-mux.jpeg |}} 
-{{ :​16-to-1-mux-using-8-to-1-muxes.jpeg |}} 
-{{ :​74157-multiplexer-ic-in-data-routing.jpeg |}} 
-{{ :​parallel-to-serial-data-conversion-using-multiplexer.jpeg |}} 
  
-### 4. CircuitJS电路仿真 
-利用CircuitJS自带的案例 - 2选1多路复用器,只有1bit做选择控制,两路输入信号中的哪一路传递到输出端取决于这个选择控制信号的bit是高还是低 
-{{ :mux21.mp4 |}} 
  
-### 5. 小脚丫FPGA验证 - 4选1多路选择器 
  
-#### 5.1 真值表 
-{{drawio>​turetable_mux41.png}} 
  
-#### 5.2 原理图 
-{{drawio>​sche_mux41.png}} 
  
-#### 5.3 小脚丫FPGA模块验证连接图 
-{{drawio>​step_mux21_conn.png}} 
  
-### 6. Verilog代码实现 
-<code verilog> 
-  module mult4 
-   ( 
-     input wire a,           //​定义四位输入 
-     input wire b, 
-     input wire c, 
-     input wire d, 
-     input wire [1:0]  sel,  //​定义输出的选择变量 
-     ​output reg  led       //​定义选择器输出结果对应的led 
-   ); 
-  always@(sel) ​          //​根据sel结果选择输出,当sel变化时执行 
- begin 
- case(sel) 
- 2'​b00:​ led = a; 
- 2'​b01:​ led = b; 
- 2'​b10:​ led = c; 
- 2'​b11:​ led = d; 
- endcase 
- end 
-  endmodule 
-  </​code>​