差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

color_led.v [2016/06/27 09:05]
anran 创建
color_led.v [2016/06/29 16:19] (当前版本)
anran
行 21: 行 21:
 module Color_led module Color_led
 ( (
-input clk_in, +input clk_in, 
-input rst_n_in, +input rst_n_in, 
-input key_color,​ +input key_color,​ 
-input key_up, +input key_up, 
-input key_down, +input key_down, 
-output led_R, +output ​ led_R, 
-output led_G, +output ​ led_G, 
-output led_B+output ​ led_B
 + 
 +output [7:​0] led,​ 
 +output led_R2,​ 
 +output led_G2,​ 
 +output led_B2
 ); );
 +
 +assign led = 8'​b1111_1111;​
 +assign led_R2 = 1'b1;
 +assign led_G2 = 1'b1;
 +assign led_B2 = 1'b1;
  
 localparam RED = 3'​b110,​ localparam RED = 3'​b110,​
行 40: 行 50:
  BLACK = 3'​b111;​  BLACK = 3'​b111;​
  
-//wire color_pulse;​ +//Debounce for key 
-//wire up_pulse+wire [2:0] key_pulse
-//​wire down_pulse;​ +Debounce1 Debounce_uut 
-//Debounce for key_color +( 
-Debounce Debounce_color(.clk(clk_in),​.rst_n(rst_n_in),​.key_n(key_color),.key_pulse(color_pulse));​  +.clk(clk_in),​ 
- +.rst_n(rst_n_in),​ 
-//Debounce for key_up +.key_n({key_color,​key_up,​key_down}), 
-Debounce Debounce_up(.clk(clk_in),.rst_n(rst_n_in),.key_n(key_up),.key_pulse(up_pulse));  +.key_pulse(key_pulse) 
- +);  
-//Debounce for key_down +  
-Debounce Debounce_down(.clk(clk_in),​.rst_n(rst_n_in),​.key_n(key_down),​.key_pulse(down_pulse))+wire color_pulse = key_pulse[2];​ 
 +wire up_pulse = key_pulse[1]; 
 +wire down_pulse ​= key_pulse[0];
  
 +reg [2:0] color = 3'd0;
 wire Lightness_out;​ wire Lightness_out;​
 Lightness Lightness_uut Lightness Lightness_uut
行 57: 行 70:
 .clk_in(clk_in),​ .clk_in(clk_in),​
 .rst_n_in(rst_n_in),​ .rst_n_in(rst_n_in),​
 +.color(color),​
 .up_pulse(up_pulse),​ .up_pulse(up_pulse),​
 .down_pulse(down_pulse),​ .down_pulse(down_pulse),​
行 63: 行 77:
  
 reg [2:0] cnt = 3'd0; reg [2:0] cnt = 3'd0;
-reg [2:0] color = 3'd0; 
 always@(posedge color_pulse or negedge rst_n_in) begin always@(posedge color_pulse or negedge rst_n_in) begin
  if(!rst_n_in) begin  if(!rst_n_in) begin
行 89: 行 102:
  
 endmodule endmodule
- 
 </​code>​ </​code>​