差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
9._呼吸灯 [2017/03/27 18:24]
zhijun
9._呼吸灯 [2019/12/23 10:46] (当前版本)
anran
行 22: 行 22:
 呼吸灯设计要求呼吸的周期为2s,也就是说LED灯从最亮的状态开始,第一秒时间内逐渐变暗,第二秒的时间内再逐渐变亮,依次进行。 呼吸灯设计要求呼吸的周期为2s,也就是说LED灯从最亮的状态开始,第一秒时间内逐渐变暗,第二秒的时间内再逐渐变亮,依次进行。
  
-本设计中需要两个计数器cnt1和cnt2,cnt1随系统时钟同步计数(系统时钟上升沿时cnt1自加1)范围为0~T,cnt2随cnt1的周期同步计数(cnt1等于T时,cnt2自加1)范围也是0~T,这样每次cnt1在0~T的计数时,cnt2为一个固定值,相邻cnt1计数周期对应的cnt2的值逐渐增大,我们将cnt1计数0~T的时间作为脉冲周期,cnt2的值作为脉冲宽度,则占空比 = cnt2/​T,​占空比从0%到100%的时间 = cnt2*cnt1 = T^2 = 1s = 12M个系统时钟,T = 2400,我们定义CNT_NUM = 2400作为两个计数器的计数最大值。+本设计中需要两个计数器cnt1和cnt2,cnt1随系统时钟同步计数(系统时钟上升沿时cnt1自加1)范围为0~T,cnt2随cnt1的周期同步计数(cnt1等于T时,cnt2自加1)范围也是0~T,这样每次cnt1在0~T的计数时,cnt2为一个固定值,相邻cnt1计数周期对应的cnt2的值逐渐增大,我们将cnt1计数0~T的时间作为脉冲周期,cnt2的值作为脉冲宽度,则占空比 = cnt2/​T,​占空比从0%到100%的时间 = cnt2*cnt1 = T^2 = 1s = 12M个系统时钟,T = 3464,我们定义CNT_NUM = 3464作为两个计数器的计数最大值。
  
 {{ ::​呼吸灯pwm原理.jpg |PWM呼吸灯原理}} {{ ::​呼吸灯pwm原理.jpg |PWM呼吸灯原理}}
行 47: 行 47:
 // Module Function:​呼吸灯 // Module Function:​呼吸灯
 module breath_led(clk,​rst,​led);​ module breath_led(clk,​rst,​led);​
- + 
  input clk;             //​系统时钟输入  input clk;             //​系统时钟输入
  input rst;             //​复位输出  input rst;             //​复位输出
  output led;            //led输出  output led;            //led输出
- + 
  reg [24:0] cnt1;       //​计数器1  reg [24:0] cnt1;       //​计数器1
  reg [24:0] cnt2;       //​计数器2  reg [24:0] cnt2;       //​计数器2
  reg flag;              //​呼吸灯变亮和变暗的标志位  reg flag;              //​呼吸灯变亮和变暗的标志位
-  +  
- parameter ​  ​CNT_NUM = 2400;​ //​计数器的最大值 period = (2400^2)*2 = 24000000 = 2s+ parameter ​  ​CNT_NUM = 3464;​ //​计数器的最大值 period = (3464^2)*2 = 24000000 = 2s
  //​产生计数器cnt1  //​产生计数器cnt1
  always@(posedge clk or negedge rst) begin   always@(posedge clk or negedge rst) begin 
行 62: 行 62:
  cnt1<​=13'​d0;​  cnt1<​=13'​d0;​
  end ​  end ​
-                ​else begin +        ​else if(cnt1>​=CNT_NUM-1)  
-      if(cnt1>​=CNT_NUM-1)  + cnt1<​=1'​b0;​
-                        cnt1<​=1'​b0;​+
       ​else ​       ​else ​
-                        ​cnt1<​=cnt1+1'​b1; ​ +                ​cnt1<​=cnt1+1'​b1; ​
-                    end+
  end  end
 + 
  //​产生计数器cnt2  //​产生计数器cnt2
  always@(posedge clk or negedge rst) begin   always@(posedge clk or negedge rst) begin 
行 76: 行 74:
  flag<​=1'​b0;​  flag<​=1'​b0;​
  end ​  end ​
-                ​else begin +        ​else if(cnt1==CNT_NUM-1) begin //​当计数器1计满时计数器2开始计数加一或减一 
-      if(cnt1==CNT_NUM-1) begin              //​当计数器1计满时计数器2开始计数加一或减一 + if(!flag) begin            //​当标志位为0时计数器2递增计数,表示呼吸灯效果由暗变亮 
- if(!flag) begin                     ​//​当标志位为0时计数器2递增计数,表示呼吸灯效果由暗变亮 + if(cnt2>​=CNT_NUM-1) ​   //​计数器2计满时,表示亮度已最大,标志位变高,之后计数器2开始递减 
- if(cnt2>​=CNT_NUM-1) ​        ​//​计数器2计满时,表示亮度已最大,标志位变高,之后计数器2开始递减 + flag<​=1'​b1;​
-                                    flag<​=1'​b1;​+
  else  else
-                                    ​cnt2<​=cnt2+1'​b1;​ + cnt2<​=cnt2+1'​b1;​ 
- end else begin                     ​//​当标志位为高时计数器2递减计数 + end 
- if(cnt2<=0)                ​//​计数器2级到0,表示亮度已最小,标志位变低,之后计数器2开始递增 + else begin 
-                                    flag<​=1'​b0;​ + if(cnt2<​=0) ​     ​//​当标志位为高时计数器2递减计数 
- else  + flag<=1'​b0;​  ​  //​计数器2级到0,表示亮度已最小,标志位变低,之后计数器2开始递增 
-                                    cnt2<​=cnt2-1'​b1;​+ else ​  
 + cnt2<​=cnt2-1'​b1;​ 
 + end  
 +
  end  end
- end + else ​ 
-                            ​else ​cnt2<​=cnt2; ​             //​计数器1在计数过程中计数器2保持不变 + cnt2<​=cnt2; ​               //​计数器1在计数过程中计数器2保持不变 
- end + end 
- end + 
  //​比较计数器1和计数器2的值产生自动调整占空比输出的信号,输出到led产生呼吸灯效果  //​比较计数器1和计数器2的值产生自动调整占空比输出的信号,输出到led产生呼吸灯效果
  assign led = (cnt1<​cnt2)?​1'​b0:​1'​b1;​  assign led = (cnt1<​cnt2)?​1'​b0:​1'​b1;​
- +  
-endmodule ​+endmodule