差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
8._计时控制 [2017/04/03 21:04]
zhijun
8._计时控制 [2021/10/03 01:29]
gongyu
行 1: 行 1:
-=====计时控制=====+## 计时控制
 在之前的实验中我们掌握了如何进行时钟分频、如何进行数码管显示与按键消抖的处理,那么在本节实验之中,我们将会实现一个篮球赛场上常见的24秒计时器。 在之前的实验中我们掌握了如何进行时钟分频、如何进行数码管显示与按键消抖的处理,那么在本节实验之中,我们将会实现一个篮球赛场上常见的24秒计时器。
 \\ \\
-====硬件说明==== + 
--------+### 1. 硬件说明 
 在之前的实验中我们为读者详细介绍过小脚丫MXO2板卡上的按键、数码管、LED等硬件外设,在此不再赘述。本节将实现由数码管作为显示模块,按键作为控制信号的输入(包含复位信号和暂停信号),Lattice MXO2 4000HC作为控制核心的篮球读秒系统,实现框图如下: 在之前的实验中我们为读者详细介绍过小脚丫MXO2板卡上的按键、数码管、LED等硬件外设,在此不再赘述。本节将实现由数码管作为显示模块,按键作为控制信号的输入(包含复位信号和暂停信号),Lattice MXO2 4000HC作为控制核心的篮球读秒系统,实现框图如下:
  
行 10: 行 11:
 \\ \\
  
-====Verilog代码==== +### 2. Verilog代码
-------+
  
 <code verilog> <code verilog>
行 18: 行 18:
 // >>>>>>>>>>>>>>>>>>>>>>>>>​ COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<​ // >>>>>>>>>>>>>>>>>>>>>>>>>​ COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<​
 // ******************************************************************** // ********************************************************************
-// File name    : debounce.v +// File name    : counter.v 
-// Module name  : debounce+// Module name  : counter
 // Author ​      : STEP // Author ​      : STEP
 // Description ​ :  // Description ​ : 
行 30: 行 30:
 // V1.0     ​|2017/​03/​02 ​  ​|Initial ver // V1.0     ​|2017/​03/​02 ​  ​|Initial ver
 // -------------------------------------------------------------------- // --------------------------------------------------------------------
-// Module Function:​计时器 +// Module Function:24秒倒计时计数器 
- +  
-module ​segment_counter+module ​counter
 ( (
- clk , + clk , ​   //​时钟 
- rst , + rst , ​   //​复位 
- hold , + hold , ​   //​启动暂停按键 
- seg_led_1 ,​ + seg_led_1 , ​   //​数码管1 
- seg_led_2 ,​+ seg_led_2 , ​   //​数码管2 
 + led                  //led
 ); );
 + 
  input clk,rst;  input clk,rst;
  input hold;​  input hold;​
- +  
- output ​reg [8:​0] seg_led_1,​seg_led_2;​ + output ​ [8:​0] seg_led_1,​seg_led_2;​ 
-  + output reg [7:0] led; 
- reg clk_divided+  
- reg hold_flag;​ + wire clk1h; ​       //​1Hz时钟 
- reg back_to_zero_flag = 0;+ wire hold_pulse; ​  //​按键消抖后信号 
 + reg hold_flag; ​   //​按键标志位 
 + reg back_to_zero_flag ; ​//​计时完成信号
  reg   ​ [6:​0] ​  ​seg [9:​0];  ​  reg   ​ [6:​0] ​  ​seg [9:​0];  ​
- reg [23:​0] cnt;​ + reg [3:​0] cnt_ge; ​     //​个位 
- reg [3:​0] cnt_ge;​ + reg [3:​0] cnt_shi; ​    ​//十位 
- reg [3:​0] cnt_shi;​ + 
-  +
- parameter PERIOD=6000000;​ //1秒 +
- +
  initial ​  initial ​
  begin  begin
行 77: 行 77:
  end  end
   
- always @ (posedge ​clk) begin // 用于分出一个1Hz的频率 + 
- if (!rst == 1begin +  
- cnt <= 0; + // 启动/​暂停按键进行消抖 
- clk_divided <= 0end + debounce ​ U2 ( 
- else begin + .clk(clk)
- if (cnt < PERIOD-1) + .rst(rst),​ 
- cnt ​<= cnt + 1+ .key(hold),​ 
- else begin + .key_pulse(hold_pulse) 
- cnt <= 0; + ); 
- clk_divided ​<= ~clk_dividedend + // 用于分出一个1Hz的频率  
- end + divide #​(.WIDTH(32),​.N(12000000)) U1 (  
- end + .clk(clk),​ 
-  + .rst_n(rst),      ​ 
- always @ (*) begin + .clkout(clk1h) 
- if (!rst == 1) + )
- back_to_zero_flag <= 1+    //​按键动作标志信号产生 
- else if (((cnt_shi*10) + cnt_ge)==24)+ always @ (posedge hold_pulse) 
 + if(!rst==1) 
 + hold_flag ​<= 0
 + else 
 + hold_flag ​<= ~hold_flag
 + //​计时完成标志信号产生 
 + always @ (*) 
 + if(!rst == 1) 
 + back_to_zero_flag <= 0
 + else if(cnt_shi==0 && ​cnt_ge==0)
  back_to_zero_flag <= 1;  back_to_zero_flag <= 1;
  else  else
  back_to_zero_flag <= 0;  back_to_zero_flag <= 0;
- end +    //​24秒倒计时控制 
-  + always @ (posedge ​clk1h or negedge rst) begin 
- always @ (posedge hold) + if (!rst == 1) begin 
- hold_flag <= ~hold_flag;​ + cnt_ge <= 4'd4
-  + cnt_shi <= 4'd2;  
- always @ (posedge ​clk_divided ​or posedge back_to_zero_flag) begin + end 
- if (back_to_zero_flag ​== 1) begin + else if(hold_flag == 1)begin
- cnt_ge <= 0+
- cnt_shi <= 0end +
- else if (cnt_ge == 9) begin +
- cnt_ge <= 0; +
- cnt_shi <= cnt_shi + 1; end +
- else if (hold_flag == 1)+
  cnt_ge <= cnt_ge;  cnt_ge <= cnt_ge;
 + cnt_shi <= cnt_shi;
 + end
 + else if(cnt_shi==0 && cnt_ge==0) begin
 + cnt_shi <= cnt_shi;
 + cnt_ge <= cnt_ge;
 + end
 + else if(cnt_ge==0)begin
 + cnt_ge <= 4'd9;
 + cnt_shi <= cnt_shi-1;​end
  else  else
- cnt_ge <= cnt_ge ​1;+ cnt_ge <= cnt_ge ​-1
 + end 
 + //​计时完成点亮led 
 + always @ ( back_to_zero_flag)begin 
 + if (back_to_zero_flag==1) 
 + led = 8'​b0;​ 
 + else 
 + led = 8'​b11111111;
  end  end
-  
- always @ (cnt_ge) begin 
- seg_led_1[8:​0] <= {2'​b00,​seg[cnt_ge]};​ 
- end 
  
- always @ (cnt_shi) begin + assign seg_led_1[8:​0] = {2'​b00,​seg[cnt_ge]};​ 
- seg_led_2[8:​0] ​<= {2'​b00,​seg[cnt_shi]};​ +  
-   end + assign ​seg_led_2[8:​0] = {2'​b00,​seg[cnt_shi]};​ 
- + 
 + 
 endmodule endmodule
  
行 129: 行 145:
 \\ \\
  
-====引脚分配==== +### 3. 引脚分配 
--------+
 设置好复位键可消抖的按键,编译完成后下载,通过按键就可以翻转LED。你也可以定义多个按键控制多个LED,还可以比较不加按键消抖情况下实际的效果对比如何。 设置好复位键可消抖的按键,编译完成后下载,通过按键就可以翻转LED。你也可以定义多个按键控制多个LED,还可以比较不加按键消抖情况下实际的效果对比如何。
 \\ \\
行 155: 行 171:
 |seg_led_2[7] ​   |F1               ^ |seg_led_2[7] ​   |F1               ^
 |seg_led_2[8] ​   |C9               ^ |seg_led_2[8] ​   |C9               ^
-====小结==== + 
-------+### 4. 小结 
 本实验主要介绍了计时器的实现方式,并且包含了复位与暂停功能,读者可自行修改程序内部的时钟参数来调节计时时间。下一节将介绍PWM调制技术的应用[[9. 呼吸灯|呼吸灯]]。 本实验主要介绍了计时器的实现方式,并且包含了复位与暂停功能,读者可自行修改程序内部的时钟参数来调节计时时间。下一节将介绍PWM调制技术的应用[[9. 呼吸灯|呼吸灯]]。