**这是本文档旧的修订版!**

三人表决器

一、项目介绍

相信大家对电视中的选秀节目并不陌生,我们常常能够见到一种比赛规则:当三名评委中有两名及以上同意选手晋级时,该选手才能晋级,那么如何去实现该项目呢?

二、实现原理

其实这一切都离不开数字电路,首先我们可以绘制出三人表决器的真值表,然后用Verilog去实现它,真值表如下:

三、硬件部分

通过对此项目进行评估我们发现:
1.该项目需要三个输入,我们可以通过拨码开关进行实现;

2.需要显示模块来表示投票情况,这里我们选用数码管进行实现;

四、Verilog实现

首先,接口定义: