差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
上一修订版 两侧同时换到之后的修订记录
篮球24秒计时器 [2017/03/14 10:34]
zhijun
篮球24秒计时器 [2017/03/14 10:35]
zhijun
行 5: 行 5:
 =====二、项目需求分析===== =====二、项目需求分析=====
 通过对篮球比赛中的规则进行分析,可得出以下需求: 通过对篮球比赛中的规则进行分析,可得出以下需求:
 +\\
 1.此电路需要有时间显示功能; 1.此电路需要有时间显示功能;
 +\\
 2.要求整体电路具备24秒递减计时,每隔1s,​计时器数字-1; 2.要求整体电路具备24秒递减计时,每隔1s,​计时器数字-1;
 +\\
 3.要有外部开关,控制计数器的复位、暂停、启动和正常计时; 3.要有外部开关,控制计数器的复位、暂停、启动和正常计时;
 +\\
 4.当计时为0时,发出报警信息; 4.当计时为0时,发出报警信息;
 +\\
 =====三、硬件部分===== =====三、硬件部分=====
 =====四、Verilog实现===== =====四、Verilog实现=====
 =====五、运行效果===== =====五、运行效果=====