**这是本文档旧的修订版!**

呼吸灯

====硬件平台====

====设计要求====

  1. 掌握PWM的原理
  2. 了解LED通过PWM调亮度的方法
  3. 基于STEP-MXO2第一代平台实现周期为2s的呼吸灯设计

工作原理


呼吸灯:顾名思义,灯光的亮度在控制下不断的在亮和灭之间逐渐变化,感觉好像是人在呼吸。控制LED的亮度总体有两种方法,一种是给LED灯上施加模拟信号(可以串接可调电阻或DAC输出模拟信号),通过改变流经LED上的电流控制LED灯的亮度;另一种是使用PWM,给LED灯上施加数字信号,通过调整数字信号的占空比(调整占空比 = 调整有效值)来控制LED灯的亮度。这里我们的呼吸灯就是采用第二种PWM的方法实现。

PWM脉冲宽度调制,是利用数字输出对模拟电路进行控制的一种非常有效的技术。通过改变PWM的占空比从而改变输出电平的有效值,在LED的亮度上反应出来,如果占空比能够由小变大再变小,在LED上反应出的效果就是呼吸灯的效果。

脉冲信号有效值

如上图所示,脉冲信号的周期为T,高电平脉冲宽度为t,占空比为t/T。为了实现PWM脉宽调制,我们需要保持周期T不变,调整高电平脉宽t的时间,从而改变占空比。

  • 当t = 0时,占空比为0%,因为我们的LED硬件为低电平点亮,所以为最亮的状态。
  • 当t = T时,占空比为100%,LED灯为最暗(熄灭)的状态。

结合呼吸灯的原理,整个呼吸的周期为最亮→最暗→最亮的时间,即t的值的变化:0→T→0逐渐变化,这个时间应该为2s

====硬件连接====

呼吸灯的设计较为简单,我们使用25MHz的系统时钟作为高频信号做分频处理,调整占空比实现PWM,通过LED灯LD1指示输出状态。

LED电路连接

====代码设计====

设计文件

脉冲发生程序设计

我们在硬件连接中也提到了脉冲发生器设计需要的硬件资源作为接口外设,整个设计的接口定义如下:

input			clk_in,
input			rst_n_in,
input			key_menu,
input			key_up,
input			key_down,
output			menu_state,
output	reg		pulse_out

本设计中我们用到三个按键作为输入,需要对按键输入做消抖处理,消抖模块的原理及设计请参考按键消抖设计,这里我们以key_menu的消抖为例调用按键消抖模块,如下:

//Debounce for key_menu
Debounce Debounce_menu(.clk(clk_in),.rst_n(rst_n_in),.key_n(key_menu),.key_state(menu_state)); 

对三路按键做消抖后的信号可以实现周期和脉宽的控制,本设计中我们的分频比范围为2~16分级可调,0%<脉宽<100%分级可调,控制脉宽参数duty要始终小于周期参数cycle,实现方法如下:

//Control cycle and duty cycle
always @(posedge clk_in or negedge rst_n_in) begin 
	if(!rst_n_in) begin 
		cycle<=4'd8;
		duty<=4'd4;
	end else begin
		if(menu_state) begin
			if(up_pulse && (cycle<4'd15)) cycle <= cycle + 4'd1;
			else if(down_pulse && (cycle>(duty+4'd1))) cycle <= cycle - 4'd1;
			else cycle <= cycle;
		end else begin
			if(up_pulse && (cycle>(duty+4'd1))) duty <= duty + 4'd1;
			else if(down_pulse && (duty>4'd0)) duty <= duty - 4'd1;
			else duty <= duty;
		end
	end 
end

最后根据周期参数cycle控制计数器的计数范围,根据脉宽参数duty控制正电压脉冲的宽度,产生脉冲信号。

reg	[3:0]	cnt;
//counter for cycle
always @(posedge clk_in or negedge rst_n_in) begin 
	if(!rst_n_in) begin 
		cnt<=4'd0;
	end else begin
		if(cnt>=cycle) cnt<=4'd0;
		else cnt <= cnt + 4'd1;
	end 
end 
 
//pulse generate with duty
always @(posedge clk_in or negedge rst_n_in) begin 
	if(!rst_n_in) begin 
		pulse_out<=1'b1;
	end else begin
		if(cnt<=duty) pulse_out<=1'b1;
		else pulse_out<=1'b0;
	end 
end 

引脚分配如下:

管脚名称 clkin| rstnin| keymenukeyup|keydownmenustate|pulseout
FPGA管脚 C1 A2 A12 B8 A10 A3 A7

运行结果

LED灯亮度

示波器测量结果

资源报告

资源 数量 比例 说明
LUTs 61 10%
寄存器 80 5%
存储器 0 0%
IO管脚 7
时钟频率 25MHz

知识点

  • 按键消抖
  • PWM脉宽调节
  • 脉冲发生原理

参考文档

相关文档

文件名称 功能
Pulse_gen.v 脉冲发生器TOP文件
Debounce.v 按键消抖