差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
上一修订版 两侧同时换到之后的修订记录
quartus安装及配置 [2017/05/31 10:14]
group002
quartus安装及配置 [2017/05/31 10:18]
group002
行 1: 行 1:
 ======Quarus Prime安装配置====== ======Quarus Prime安装配置======
 =====1.概述===== =====1.概述=====
-Quartus ​II  ​是Intel(原Altera)公司的综合性PLD/​FPGA开发软件,作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,具有运行速度快,界面统一,功能集中,易学易用等特点,越来越受到数字系统设计者的欢迎。+Quartus ​Prime是Intel(原Altera)公司的综合性PLD/​FPGA开发软件,作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,具有运行速度快,界面统一,功能集中,易学易用等特点,越来越受到数字系统设计者的欢迎。
   * 可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件   * 可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件
   * 支持大量ALTERA的IP核,简化了设计的复杂性,加快了设计速度   * 支持大量ALTERA的IP核,简化了设计的复杂性,加快了设计速度
行 31: 行 31:
 (8)完成上一步后,弹出设备驱动程序安装向导页面如下,点击Next进入下一步。 (8)完成上一步后,弹出设备驱动程序安装向导页面如下,点击Next进入下一步。
 {{ :​qu8.jpg?​500 |}} {{ :​qu8.jpg?​500 |}}
 +(9)电脑弹出安装设备软件的提示,点击“安装”
 +{{ :​qu9.jpg?​500 |}}
 +(10)电脑自动安装设备驱动程序,完成后弹出界面,点击 “完成”如下。
 +{{ :​qu10.jpg?​500 |}}
 +(11)最后弹出Quartus软件最终完成的页面,勾选“Run the Quartus Prime softwave”点击“OK”完成软件的安装,同时Quartus软件启动,开启编程之路吧。
 +{{ :​qu11.jpg?​500 |}}