Quarus Prime安装配置

1.概述

Quartus Prime是Intel(原Altera)公司的综合性PLD/FPGA开发软件,作为一种可编程逻辑的设计环境,由于其强大的设计能力和直观易用的接口,具有运行速度快,界面统一,功能集中,易学易用等特点,越来越受到数字系统设计者的欢迎。

  • 可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件
  • 支持大量ALTERA的IP核,简化了设计的复杂性,加快了设计速度
  • 可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析

支持Windows系统,Linux系统和Unix系统,提供了完善的用户图形界面设计方式。

2.软件安装

2.1 Quartus Prime软件下载

(1)打开Intel-Altera官网,找到Quartus系列软件安装包下载页面,下载软件安装包。

(2)根据安装指南进行安装。

2.2 Quartus Prime安装步骤

(1)将下载的文件放在同一文件夹(不要有中文路径)中,文件如下,双击运行QuartusLiteSetup文件。 (2)Quartus启动界面之后出现安装提示窗口,点击Next进入下一步。 (3)选择同意此协议,点击Next进入下一步。 (4)安装路径,默认是安装在C盘,可以根据实际情况修改(建议只更改盘符),Next。 (5)选择安装组件,如下图,勾选对应的组件,点击Next进入下一步。 (6)连接点击Next进入Quartus软件自动安装界面,大约需要半个小时的等待,软件会将Quartus软件,Modelsim软件和MAX系列设备支持全部安装。 (7)自动安装完成进入安装完成界面,勾选“Launch USB Blaster II driver installation”和“Create shortcuts on Desktop”选项后,点击“Finish”按钮。 (8)完成上一步后,弹出设备驱动程序安装向导页面如下,点击Next进入下一步。 (9)电脑弹出安装设备软件的提示,点击“安装” (10)电脑自动安装设备驱动程序,完成后弹出界面,点击 “完成”如下。 (11)最后弹出Quartus软件最终完成的页面,勾选“Run the Quartus Prime softwave”点击“OK”完成软件的安装,同时Quartus软件启动,开启编程之路吧。