目录

用开关、按键控制LED的亮和灭

在本示例中加入了输入按键/开关的控制,用4个开关和4个按键来控制8个LED的亮、灭。

1. 知识点

2. 原理图

fpga_sw_leds.png

如上图中所示,为了让大家体会FPGA 输入管脚的状态,开关和按键的连接方式做了不同的配置:

3. Verilog代码

// ********************************************************************
// >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<
// ********************************************************************
// File name    : LED_onoff_sw.v
// Module name  : led_onoff_sw
// Author       : STEP
// Description  : control 8 leds on and off with 4 push buttons and 4 switchs
// Web          : www.stepfpga.com
// 
// --------------------------------------------------------------------
// Code Revision History : 
// --------------------------------------------------------------------
// Version: |Mod. Date:   |Changes Made:
// V1.0     |2021/09/15   |Initial ver
// --------------------------------------------------------------------
// Module Function: use external button to control leds
 
module LED (key,sw,led);
 
	input [3:0] key;			// 4 push buttons input
	input [3:0] sw;				// 4 switchs input
	output [7:0] led;			// output control signals to 8 leds
 
	assign led = {key,sw};                  //assign led signal values to 4 keys input + 4 switches input
 
endmodule

Verilog语法说明

4. 管脚分配

管脚分配如下:

将模块端口的16个信号分别分配给8个LED和4个按键、4个开关

5. 功能验证

将生成的JED文件下载到FPGA板以后的效果:

用开关和按键可以控制LED的on/Off, 正常开关和按键的状态都为高电平,8个LED都处于灭的状态,改变开关和按键的装填可以让LED亮起来,按键、开关和LED一一对应。