点亮LED

就像软件编程里的“Hello World”,点灯是FPGA学习的第一步,不要小瞧这么一颗小小的灯,点灯的方式可以有多种。在本节,我们通过4个点灯的程序达到以下的目标:

  1. 体验LED的工作原理;
  2. 了解Verilog代码的结构和基本的语法规范
  3. 体会HDL语言中的bit和FPGA端口电信号的关系
  4. 体验FPGA设计从创建工程 –》 输入代码 –》 逻辑综合 –》 分配管脚 –》 生成可下载的JED文件 –》 配置FPGA整个过程(理论知识参见FPGA设计流程

在本实例中,我们以Web IDE为例,同样的示例也可以通过Lattice的官方FPGA设计工具Diamond或Intel的官方设计工具quartus_prime来实现,只是要注意这些工具的使用方法和管脚的正确分配。

1. 硬件说明

在这个实验中,我们主要用到小脚丫FPGA开发板上的以下几个外设:


这是开发板上的8个红色LED,LED1~8信号连接到FPGA的引脚,作为FPGA输出信号控制。当FPGA输出低电平时LED变亮,当FPGA输出高电平时LED熄灭。

这是开发板上的2个三色LED,(RLED1, GLED1, BLED1), (RLED2, GLED2, BLED2)信号连接到FPGA的引脚,作为FPGA输出信号控制。当FPGA输出低电平时,相应的LED变亮,当FPGA输出高电平时LED熄灭。

所以我们可以用开关或者按键来控制LED的亮灭。