======STEP-MXO2-C====== =====概览===== STEP小脚丫FPGA学习平台是思得普信息科技公司专门针对FPGA初学者打造的一款性价比最高、学习门槛最低的学习模块系列。系列中所有板子的大小兼容标准的DIP40封装,尺寸只有52mm x 18mm,非常便于携带;并通过MicroUSB端口进行供电和下载,板上选用的芯片兼具了FPGA和CPLD的优点,瞬时上电启动,无需外部重新配置FPGA,是学习数字逻辑绝佳的选择。而且能够直接插在面包板上或以模块的方式放置在其它电路板上以即插即用的方式,大大简化系统的设计。 \\ \\ STEP-MXO2-C专用版是专为[[http://www.eefocus.com|与非网]]主办的[[fpga_contest|FPGA万人大赛]]打造的比赛平台,并配备STEP团队最新研发的MCU编程器。 \\ STEP-MXO2-C专用版功能和配置与[[STEP-MXO2第二代]]几乎完全同时,唯一的差别在于FPGA程序下载方式不同。STEP-MXO2-C没有采用USB-JTAG的模式下载,而是通过MCU虚拟U盘,拷贝FPGA配置文件到U盘的方式下载程序。 \\ \\ {{ ::step_mxo2_c1.png?600 |}}{{ ::step_mxo2_c2.jpg?600 |}} **小脚丫STEP-MXO2-C** =====硬件规范===== {{ ::step_mxo2_c3.png?600 |}} **小脚丫STEP-MXO2第二代硬件结构** - 核心器件:Lattice LCMXO2-4000HC-4MG132 *132脚BGA封装,引脚间距0.5mm,芯片尺寸8mm x 8mm; *上电瞬时启动,启动时间<1ms; *4320个LUT资源, 96Kbit 用户闪存,92Kbit RAM; *2+2路[[PLL]]+DLL; *嵌入式功能块(硬核):一路[[SPI]]、一路定时器、2路[[I2C]] *支持DDR/DDR2/LPDDR存储器; *104个可热插拔I/O; *内核电压2.5-3.3V; - 板载资源: * 两位7段数码管; * 两个RGB三色LED; * 8路用户LED; * 4路拨码开关; * 4路按键; * 外部时钟频率:12MHz - 36个用户可扩展I/O(其中包括一路SPI硬核接口和一路I2C硬核接口) - 支持的开发工具Lattice Diamond - 支持MICO32/8软核处理器 - 板上集成FPGA编程器 - 一路Micro USB接口 - 板卡尺寸52mm x 18mm =====上电测试===== STEP-MXO2-C开发板通过USB供电,采用了常见Micro USB接口。开发板出厂内置测试程序,上电后: - 数码管:循环显示0~7之间的数字 - 流水灯:依次闪烁实现流水效果 - 三色灯:两个三色灯逐次显示红,绿,蓝,白颜色 - 拨码开关:控制数码管、流水灯及三色灯闪烁的速度, * 四位拨码开关全部拨至下端,闪烁速度最快(0.5s左右) * 四位拨码开关全部拨至上端,闪烁速度最慢(2s左右) * 四位拨码开关处于其他状态时,闪烁速度适中(1s左右) - 按键开关:控制数码管、流水灯及三色灯闪烁的方向及显示的亮度, * K1:控制数码管、流水灯及三色灯闪烁的方向或顺序 * K2:控制所有显示的亮度,分六级亮度等级,按K2亮度增强 * K3:控制所有显示的亮度,分六级亮度等级,按K3亮度减弱 * K4:软件复位 =====使用说明===== STEP-MXO2-C的编程芯片已经集成到小脚丫开发板上,因此只需要一根Micro USB线和电脑相连,就可以完成供电和编程的功能,无需安装驱动。 \\ 开发过程需要使用Lattice Diamond软件,该软件的安装和操作简单易上手,操作过程与其他厂商的FPGA设计工具大同小异。 \\ STEP-MXO2-C板在进行程序下载时与STEP MXO2-V2版本不同,将该板卡与PC链接后,将被识别成“大容量存储设备”,你只需要将程序生成的.JED文件复制进入板卡之中,即可完成下载。 \\ 点击查看[[快速上手step-mxo2-c|STEP-MXO2-C快速上手指南]] \\ [[快速上手step-mxo2-c|{{ ::mxo2-c-下载连接.png?800 |}}]] \\ 操作步骤如下:\\ \\ 1.[[软件安装及配置|安装Lattice Diamond软件]] \\ \\ 2.新建工程,输入设计文件,综合、管脚约束、布局布线并输出JED文件; \\ ^{{ :diamond设计输入.png?500 |}}|^{{ ::综合.png?500 |}}^ \\ ^设计输入|^综合^ ^{{ ::管脚约束.png?500 |}}|^{{ ::编译.png?500 |}}^ \\ ^管脚分配|^编译生成JED文件^ \\ 3.将板卡连接至PC,在电脑资源管理器中会出现一个名为STEP FPGA的U盘;\\ {{ :mxo2-c-u盘.png?500 |}} \\ 4.在工程目录下找到生成的JED文件 \\ {{ :mxo2-c-下载.png?500 |}} \\ 5.将JED文件复制进入名为STEP FPGA的大容量存储设备中; \\ {{ :mxo2-c-下载2.png?400 |}} \\ 6.下载完成。 \\ \\ 点击查看[[快速上手step-mxo2-c|STEP-MXO2-C快速上手详细操作步骤]] \\ =====文档资料===== * {{:step-mxo2-c大赛专用版硬件手册v1.1.pdf|STEP-MXO2-C大赛专用版硬件手册}} * {{:step-mxo2-c大赛专用版软件手册v1.1.pdf|STEP-MXO2-C大赛专用版软件手册}} * {{::step-mxo2-c_v1.1原理图.pdf|STEP-MXO2-C大赛专用版原理图}} * {{::lattice_diamond_安装配置.pdf|Lattice Diamond安装配置}} * [[快速上手step-mxo2-c|STEP-MXO2-C快速上手指南]] * [[STEP-MXO2入门教程|STEP-MXO2 FPGA 入门教程]] * [[step-mxo2外设驱动|STEP-MXO2 FPGA 进阶教程]] * [[project|STEP-MXO2 FPGA 项目实战]] =====引脚定义===== {{ ::v2.2管脚分配.png?500 |}} **STEP-MXO2_C版本外设管脚分配** =====产品包装===== STEP-MXO2第二代采用了精致的铁盒包装。 {{ ::mxo2_c_4.png?500 |}} **STEP-MXO2-C包装** \\ 其中包含 - 小脚丫STEP MXO2板卡 - 包装盒 - 产品说明书 =====版本对比===== ^ |**STEP MXO2 V2版本** |**STEP MXO2-C版本** | ^下载芯片|FT232|STM32F072| ^下载方式 |Lattice Diamond Programmer|由PC识别为U盘,将JED复制进入完成下载| \\ ==== 购买 ==== ---- 点击[[https://item.taobao.com/item.htm?spm=a230r.1.14.22.76bf5235beW6c&id=555814388537&ns=1&abbucket=18#detail|去淘宝购买]] \\ 点击[[http://www.eeboard.com/shop/?c=products&a=view&id=4523|去爱板商城购买]] [[https://item.taobao.com/item.htm?spm=a230r.1.14.22.76bf5235beW6c&id=555814388537&ns=1&abbucket=18#detail|{{ :stepfpgaxo2.jpg?700x300 |小脚丫大赛专用}}]]