## Lattice的MachXO2系列FPGA的使用 {{ :machxo2-bga-chip_two-sided.png?200|}} 在我们的学习系统中我们选用了[[Lattice Semiconductor]]公司的[[http://www.latticesemi.com/en/Products/FPGAandCPLD/MachXO2.aspx|MachXO2]]系列[[FPGA]],主要出于以下几方面的考虑: * Lattice Semiconductor公司的[[FPGA]]学习和使用门槛最低,易学易用 * 性价比高,除了适合入门级的学习之用,还能够满足80%以上的企业应用 * 非常适合于高校教学实验及创新实践 本节我们来看看我们选用的这个系列的FPGA有哪些特点以及应用领域。 ### 硬件部分 #### 1 MachXO2 FPGA硬件特性 * 灵活的逻辑架构,256-6864个查找表(LUTs),18-334个输入输出管脚(PIOs) * 超低功耗 - 采用先进的65nm低功耗工艺,等待状态时功耗低至22μW,具有可编程、低摆动的差分I/O * 最高达256Kbits的用户Flash存储器及最高达240Kbits sysMEM™ 内嵌块[[RAM]],高达54Kbits的分布式RAM,有专用的[[FIFO]]控制逻辑 * 最高达334个hot-socketable输入/输出管脚避免额外的泄漏 * 可以通过[[JTAG]], [[SPI]], [[I2C]]或Wishbone总线进行编程 * TransFR特性允许在现场设计更新而不干扰设备的运行 * 可编程sysIO™ 支持LVCMOS, LVTTL, PCI, LVDS, BLVDS, MLVDS, RSDS, LVPECL, SSTL, HSTL以及更多中接口,可编程上拉、下拉模式 * 灵活的片上时钟处理 - 8个主时钟输入管脚、支持高速I/O的双沿时钟、每个器件内有2个模拟[[PLL]]、外部时钟输入时频率范围从7MHz到400MHz * 芯片内硬化了[[SPI]]、[[I2C]]以及定时器/计数器功能 * 芯片内有5.5%精度的片上振荡器 * 每颗芯片都有TraceID进行追踪 * 最小封装为2.5mmx2.5mm #### 2 XO2 FPGA的结构 {{ :machxo2structure.png |}} MachXO2内部结构功能 **可编程部分:** * FPGA的核心部分是由逻辑门(Logic Gate)、寄存器(Register)以及连线(Wire)构成的可编程的逻辑块,也即此图中的PFUs(Programmable Function Units with Distributed RAM - 带分布式存储器的可编程功能单元),这些逻辑块的规模由两个重要指标表示LUTs和Slices * 可编程输入输出管脚PIOs:分成多个Bank的sysIO,每个Bank可以有单独的供电电压Vccio,以支持不同电平的数据传输协议,这些输入输出管脚可以自由分配,并可以通过编程、配置支持多种数据传输协议(不同电平、差分等),IO管脚的输入输出电阻也可以编程、配置 * 块RAM:在这里称为sysMEM Embedded Block RAM(EBR) **硬核部分:** 当今的FPGA除了可编程的逻辑和IO之外,还提供了一系列常用的功能模块,以硬核的方式内嵌在芯片以内,即便用户在设计中不用这些功能,这些资源也存在于系统中。硬核化的优势在于速度快、功耗低,且这些硬核一般为常用的功能块。在MachXO2中内嵌的硬核功能主要有: * 嵌入式功能块:Embedded Function Blocks(EFB)- MachXO2主要的EFB包括一个SPI、两个I2C、和一个定时器 * 程序Flash:On-chip Configuration Flash Memory * 用户Flash:User Flash Memory(UFM) * PLL/DLL: sysPLL {{ :machxo2pfu.png |}} MachXO2内部的可编程功能单元构成示意图 {{ :machxo2slice.png |}} MachXO2的Slice构成示意图 {{ :machxo2efb.png |}} MachXO2内部的嵌入式功能模块 #### 3 XO2 FPGA的资源 上面我们介绍了MachXO2内部的结构,XO2系列不同的型号内部结构是相同的,但资源的多少则取决于所选择的型号,在设计中我们需要根据资源的需求来选用合适的器件,了解到这些器件的资源配置对于我们设计也是有帮助的,可以充分利用器件内部的资源简化外围电路的设计,同时也要知道器件内部的局限性,在外围进行扩展。在我们小脚丫2.0版本中我们选用了XO2-4000的型号(参见MachXO2选型表),它具有如下资源: * 4320个查找表 * 10个嵌入式RAM块,攻击92kbits的容量 * 34kbits的分布式SRAM * 96Kbits的用户Flash存储器 * 内部有两个[[PLL]]和两个[[DLL]] * 支持DDR/DDR2/LPDDR存储器接口 * 内部具有配置用的Flash * 支持双启动模式 * 内部有一个[[SPI]]模块,2个[[I2C]]模块以及一个定时器模块 ** MachXO2 选型表** ^ |O2-256 |XO2-640 |XO2-640U |XO2-1200 |XO2-1200U |XO2-2000 |XO2-2000U |XO2-4000 |XO2-7000| ^查找表(LUTs)的密度 |256 |640 |640 |1280 |1280 |2112 |2112 |4320 |6864| ^EBR RAM块(9 Kbits/block)| 0 |2 |7 |7 |8 |8 |10 |10 |26 | ^EBR SRAM(Kbits) |0 |18 |64 |64 |74 |74 |92 |92 |240 | ^Dist. SRAM (Kbits) |2 |5 |5 |10 |10 |16 |16 |34 |54 | ^用户Flash存储(Kbits) |0 |24 |64 |64 |80 |80 |96 |96 |256 | ^PLL + DLL |0 |0 |1 + 2 |1 + 2 |1 + 2 |1 + 2 |2 + 2 |2 + 2 |2 + 2 | ^支持DDR/DDR2/LPDDR存储器|- |- |Yes |Yes |Yes |Yes |Yes |Yes |Yes | ^配置存储器 |||内部Flash| ^双启动 |Yes |Yes |Yes |Yes |Yes |Yes |Yes |Yes |Yes | ^内嵌功能块 |||I2C (2), SPI (1), Timer (1)| ^内核电压Vcc 1.2 V |ZE |ZE |- |ZE |- |ZE & HE |HE |ZE & HE |ZE & HE | ^内核电压Vcc 2.5 - 3.3 V |HC |HC |HC |HC |HC |HC |HC |HC |HC | #### 4 主要应用领域 ##### 4.1 微处理器的接口扩展 * 为低成本的微控制器增加通用IO以节省成本 * 为系统控制处理器增加SPI和I2C接口 * 快速添加高性能的DDR SRAM和Flash存储器接口 * 通过采用PLD配置为系统状态寄存器简化系统管理 {{ :microprocessor_interface_expansion.png |}} ##### 4.2 提高实时性要求较高的功能的性能 * 在系统上电时通过快速启动逻辑精确地控制信号 * 可以配置PWM功能以精确产生照明和马达控制所需要的模拟电压 * 构建传感器缓冲器以及智能中断以保证实时世界的事件能够被捕捉 * 采用硬件UART克服采用软件实现UART的性能限制 {{ :timing_offload.png |}} ##### 4.3 通过硬件加速提高系统的性能 * 通过基于逻辑的信令过滤机制降低处理器的负荷 * 可以通过最小的处理器消耗实现图像的旋转、缩放以及合并 {{ :hardware_acceleration.png |}} ### 设计工具及设计流程 #### 1 Lattice Diamond集成化开发环境 参考[[软件安装及配置|Diamond安装及配置]]安装好Diamond,如果遇到问题可以先看看[[常见错误|Diamond安装常见问题解答]]。现在我们就可以使用Diamond软件开始FPGA的设计了,整个设计流程参照下图。 {{ :diamind_design_flow.png?600 |Diamond软件设计流程}} 采用Diamond设计FPGA逻辑的基本流程 #### 2 运行第一个例程 ------ 下面我们可以开始可编程逻辑的开发,我们以控制LED交替闪烁为例,完成自己的第一个程序: - 双击运行Diamond软件,首先新建工程:选择File →New →Project →Next {{ :diamond16.png |}} - 工程命名:我们将新工程命名为LED_shining,工程目录F:/LED_shining,然后点击Next {{ :diamond17.png |}} - 添加相关设计文件或约束文件(如果已经有设计文件和约束文件,我们可以选择添加进工程):这里我们新建工程,没有相关文件,不需添加,直接Next{{ :diamond18.png |}} - **器件选择**:按照Step FPGA开发板器件LCMXO2-4000HC-4MG132C配置,Next(器件型号必须确认正确,否则在管脚设置时会报错){{ :diamond19.jpg |}} - 选择综合工具:Synplify Pro(第三方)和Lattice LSE(原厂)都可以,我们就使用Lattice LSE,直接Next{{ :diamond20.png |}} - 工程信息确认:上面选择的所有信息都在这里,确认没有问题,直接Finish{{ :diamond21.jpg |}} - 工程已经建好,我们下面添加设计文件, 选择File →New →File{{ :diamond22.png |}} - 选择Verilog Files(选择自己使用的硬件描述语言),Name填写LED_shining,然后点击New,这样我们就创建了一个新的设计文件LED_shining.v,然后我们就可以在设计文件中进行编程了{{ :diamond23.png |}} - 程序源码已经准备好,如下,将代码复制到设计文件LED_shining.v中,并保存。 module LED_shining ( input clk, //clk = 12mhz input rst_n, //rst_n, active low output led1, //led1 output output led2 //led2 output ); parameter CNT_1S = 12_000_000 - 1; //time 1S parameter CNT_05S = CNT_1S >> 1; //time 0.5S reg [23:0] cnt; always @(posedge clk or negedge rst_n) begin if (!rst_n) cnt <= 1'b0; else if (cnt >= CNT_1S) cnt <= 1'b0; else cnt <= cnt + 1'b1; end wire clk_div = (cnt>CNT_05S)? 1'b1 : 1'b0; assign led1 = clk_div; assign led2 = ~clk_div; endmodule - 程序编写完成,需要综合,在软件左侧Process栏,选择Process,双击Synthesis Design,对设计进行综合,综合完成后Synthesis Design显示绿色对勾(如果显示红色叉号,说明代码有问题,根据提示修改代码),如图{{ :diamond24.jpg |}} - 通过综合工具,我们的代码就被综合成了电路,生成的具体电路,我们可以通过选择Tools → Netlist Analyzer查看(仅限Lattice的综合工具,第三方综合工具无法查看),如图{{ :netlist_analyzer.jpg |netlist_analyzer}} - 综合生成电路后,分配管脚,选择Tools → Spreadsheet View,按照下图分配FPGA管脚,然后设置IO_TYPE为LVCMOS33,保存,界面如下{{ :diamond25.jpg |}} - 在软件左侧Process栏,选择Process,勾选所有选项,直接双击Export Files,所有布局布线输出依次完成,结束后,所有选项显示绿色对勾。{{ :diamond27.png |}} 到这里完成了第一个程序流文件的生成,下面可以下载到FPGA中。 #### 3 工程仿真 ------ 上面我们走了整个工程开发的过程,例程较为简单,对于复杂的工程开发需要预仿真和后仿真等,保证最终的程序设计逻辑和时序符合我们的设计要求。 仿真软件很多,这里我们使用软件自带的Modelsim软件进行功能仿真: - 首先我们添加testbench文件,和前面添加设计文件一样,File →New→File →Verilog Files,Name填写,然后New,{{ :diamond28.png |}} - 测试源码如下,复制到LED_shining_tb.v文件并保存。为了方便仿真,我们在LED_shining_tb.v调用LED_shining模块时将CNT_1S重新赋值为19: `timescale 1ns / 100ps module LED_shining_tb; parameter CLK_PERIOD = 10; reg clk; initial clk = 1'b0; always #(CLK_PERIOD/2) clk = ~clk; reg rst_n; //active low initial begin rst_n = 1'b0; #20; rst_n = 1'b1; end wire led1,led2; LED_shining #(.CNT_1S ( 19 )) u_LED_shining ( .clk ( clk ), .rst_n ( rst_n ), .led1 ( led1 ), .led2 ( led2 ) ); endmodule - 然后在软件左侧Process栏,选择File List,找到LED_shining_tb.v(必须保存过),点击右键,选择Include for →Simulation {{ :diamond28.jpg |}} - 准备工作完成,我们选择Tools →SimulationWizard →Next, - 5) 建立仿真工程,Lattice Diamond 3.12版本软件自带ModelSim仿真工具,直接调用ModelSim(默认),工程名称:LED_shining_tb,工程路径默认即可:然后点击Next,{{ :diamond29.jpg |}} - 选择RTL,然后Next{{ :diamond30.jpg |}} - 勾选Copy Source toSimulation Directory,然后Next{{ :diamond31.jpg |}} - 点击Next{{ :diamond32.jpg |}} - 点击Finish,等待仿真软件的自动运行{{ :diamond33.jpg |}} - ModelSim软件启动,可以直接查看testbench文件中变量的时序变化,想要看LED_shining模块中的变量的时序,可以通过下图中的步骤添加信号至WAVE窗口。 {{ ::led_shining.png |}} - 在WAVE窗口仿真相应的时间长度,观察信号的时序{{ :diamond331.jpg |}} #### 4 下载程序到FPGA [[STEP-MXO2第二代|STEP MXO2 V2]]的编程芯片已经集成到小脚丫开发板上,因此只需要一根Micro USB线和电脑相连,就可以完成供电和编程的功能,驱动安装好以后就可以开始编译下载程序了。 将编译完成的程序下载到开发板: - 将开发板、下载器和电脑连接,如图{{ :下载程序.jpg |}} - 选择Tools →Programmer,选择下载器HW-USBN-2B(FTDI),然后点击OK,{{ :diamond35.jpg |}} - 进入Programmer界面{{ :diamond36.jpg |}} - 在Programmer界面,点击右侧Detect Cable,自动检测Cable 显示HW-USBN-2B(FTDI),然后点击下图中Program{{ :diamond37.jpg |}} - 显示PASS,加载完成,观察StepFPGA的LED交替闪烁,成功了。{{ :diamond38.jpg |}} #### 5 STEP MXO2入门教程 到这里我们了解了用Diamond软件进行开发的完整流程。接下来我们开始[[STEP-MXO2入门教程]]一步一步进入可编程逻辑设计。 ### 相关设计文档 * [[http://www.latticesemi.com/view_document?document_id=38834|MachXO2数据手册]] * [[http://www.latticesemi.com/view_document?document_id=39085|MachXO2编程和配置指南]] * [[http://www.latticesemi.com/view_document?document_id=39080|MachXO2系统时钟PLL设计和应用指南]] * [[http://www.latticesemi.com/view_document?document_id=39083|MachXO2系统IO应用指南]] * [[http://www.latticesemi.com/view_document?document_id=39082|MachXO2的存储器应用指南]] * [[http://www.latticesemi.com/view_document?document_id=8374|可编程器件的电源去藕和旁路滤波]] * [[http://www.latticesemi.com/view_document?document_id=42546|MachXO2 132管脚csBGA管脚演进表]] * [[http://www.latticesemi.com/view_document?document_id=42571 |MachXO2 4000管脚分配表]] * [[http://www.latticesemi.com/view_document?document_id=45876 |MachXO2 硬化I2C Master/Slave演示用户指南]] * [[http://www.latticesemi.com/view_document?document_id=45877 |MachXO2 硬化SPI演示]] * [[http://www.latticesemi.com/view_document?document_id=2710 |MachXO2 I2C主控设计文档]]以及[[http://www.latticesemi.com/view_document?document_id=9497 |MachXO2 I2C主控源代码]] * [[http://www.latticesemi.com/view_document?document_id=39361 |LED/OLED的驱动设计文档]]以及[[http://www.latticesemi.com/view_document?document_id=39362 |LED/OLED的驱动源代码]] * [[http://www.latticesemi.com/view_document?document_id=34506 |PWM风扇控制设计文档]]以及[[http://www.latticesemi.com/view_document?document_id=34507 |PWM风扇控制设计源代码]] * [[http://www.latticesemi.com/view_document?document_id=36525|采用FPGA的资源来实现ADC功能]] * [[http://www.latticesemi.com/view_document?document_id=35762 |简单的Sigma-Delta ADC设计文档]]以及[[http://www.latticesemi.com/view_document?document_id=35763 |简单的Sigma-Delta ADC设计源代码]] * [[http://www.latticesemi.com/view_document?document_id=39071 |用于数字温度传感器的单线控制器源代码]]以及[[http://www.latticesemi.com/view_document?document_id=39070 |用于数字温度传感器的单线控制器设计文档]] * [[http://www.latticesemi.com/view_document?document_id=45855 |采用嵌入式功能单元的SPI从设备控制器设计文档]]以及[[http://www.latticesemi.com/view_document?document_id=45861 |采用嵌入式功能单元的SPI从设备控制器源代码]] * [[http://www.latticesemi.com/view_document?document_id=22487 |MachXO2系列器件在Orcad设计工具中的原理图库]] * [[http://www.latticesemi.com/view_document?document_id=38559|采用BGA封装的PLD器件,获取最低PCB布局成本的技巧]]