差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版
前一修订版
后一修订版 两侧同时换到之后的修订记录
6._led流水灯 [2017/03/07 16:51]
zhijun [LED流水灯]
6._led流水灯 [2018/12/11 17:38]
zhijun [Verilog代码]
行 107: 行 107:
  );                               );                             
   
-        //​1Hz时钟上升沿触发循环赋值 +        //​1Hz时钟上升沿触发循环赋值 
 +        reg [7:0] led;
         always@(posedge clk1h or negedge rst)         always@(posedge clk1h or negedge rst)
  begin  begin
行 115: 行 116:
  led <= {led[0],​led[7:​1]}; ​     //​当时钟上升沿来一次,执行一次赋值,赋值内容是led[0]与led[7:​1]重新拼接成8位赋给led,相当于循环右移  led <= {led[0],​led[7:​1]}; ​     //​当时钟上升沿来一次,执行一次赋值,赋值内容是led[0]与led[7:​1]重新拼接成8位赋给led,相当于循环右移
  end   end
 + ​endmodule
         ​         ​
  </​code>  ​  </​code>  ​