差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

后一修订版
前一修订版
后一修订版 两侧同时换到之后的修订记录
4._数码管显示 [2017/03/04 01:38]
zhijun 创建
4._数码管显示 [2017/03/07 17:08]
zhijun
行 46: 行 46:
 module LED (seg_data_1,​seg_data_2,​seg_led_1,​seg_led_2);​ module LED (seg_data_1,​seg_data_2,​seg_led_1,​seg_led_2);​
  
- input [3:0] segdata_1;​ //​数码管需要显示0~9十个数字,所以最少需要4位输入做译码 + input [3:0] seg_data_1;​ //​数码管需要显示0~9十个数字,所以最少需要4位输入做译码 
- input [3:0] segdata_2;​ //​小脚丫上第二个数码管 + input [3:0] seg_data_2;​ //​小脚丫上第二个数码管 
- output [8:0] segled_1;​ //​在小脚丫上控制一个数码管需要9个信号 MSB~LSB=DIG、DP、G、F、E、D、C、B、A + output [8:0] seg_led_1;​ //​在小脚丫上控制一个数码管需要9个信号 MSB~LSB=DIG、DP、G、F、E、D、C、B、A 
- output [8:0] segled_1;​ //​在小脚丫上第二个数码管的控制信号 ​ MSB~LSB=DIG、DP、G、F、E、D、C、B、A+ output [8:0] seg_led_2;​ //​在小脚丫上第二个数码管的控制信号 ​ MSB~LSB=DIG、DP、G、F、E、D、C、B、A
  
         reg [8:0] seg [9:​0]; ​                                           //​定义了一个reg型的数组变量,相当于一个10*9的存储器,存储器一共有10个数,每个数有9位宽         reg [8:0] seg [9:​0]; ​                                           //​定义了一个reg型的数组变量,相当于一个10*9的存储器,存储器一共有10个数,每个数有9位宽
行 68: 行 68:
             end             end
         ​         ​
-        assign ​segment_led_1 ​= seg[seg_data_1]; ​                        //​连续赋值,这样输入不同四位数,就能输出对于译码的9位输出 +        assign ​seg_led_1 ​= seg[seg_data_1]; ​                        //​连续赋值,这样输入不同四位数,就能输出对于译码的9位输出 
-        assign ​segment_led_2 ​= seg[seg_data_2];​+        assign ​seg_led_2 ​= seg[seg_data_2];​
   
 endmodule endmodule