差别

这里会显示出您选择的修订版和当前版本之间的差别。

到此差别页面的链接

两侧同时换到之前的修订记录 前一修订版
后一修订版 两侧同时换到之后的修订记录
快速上手step-mxo2-c [2019/06/28 16:33]
gongyu
快速上手step-mxo2-c [2019/10/16 11:07]
zhijun [2 工程仿真]
行 84: 行 84:
 仿真软件很多,这里我们使用软件自带的Active-HDL软件进行功能仿真: 仿真软件很多,这里我们使用软件自带的Active-HDL软件进行功能仿真:
   - 首先我们添加testbench文件,和前面添加设计文件一样,File →New→File →Verilog Files,Name填写,然后New,{{ :​diamond28.png |}}   - 首先我们添加testbench文件,和前面添加设计文件一样,File →New→File →Verilog Files,Name填写,然后New,{{ :​diamond28.png |}}
-  - 测试源码如下,复制到LED_test.v文件并保存。为了方便仿真,我们在LED_test.v调用LED_shining模块时将CLK_DIV_PERIOD重新赋值为20:<​code verilog>+  - 测试源码如下,复制到LED_test.v文件并保存。为了方便仿真,我们在LED_test.v调用LED_shining模块时将CLK_DIV_PERIOD重新赋值为20: 
 + 
 +<code verilog>
 // -------------------------------------------------------------------- // --------------------------------------------------------------------
 // >>>>>>>>>>>>>>>>>>>>>>>>>​ COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<​ // >>>>>>>>>>>>>>>>>>>>>>>>>​ COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<​