## 基于Lattice XO2-4000HC, 支持DAPLink下载的FPGA学习板 {{ :stepfpgau.png?600 |}} **小脚丫STEP-MXO2-LPC** ### 1 概览 STEP小脚丫FPGA学习平台是苏州思得普信息科技公司专门针对FPGA初学者打造的一款性价比最高、学习门槛最低的学习模块系列。系列中所有板子的大小兼容标准的[[DIP40]]封装,尺寸只有52mm x 18mm,非常便于携带;并通过[[USB]]端口进行供电和下载,板上选用的芯片兼具了[[FPGA]]和[[CPLD]]的优点,瞬时上电启动,无需外部重新配置FPGA,是学习数字逻辑绝佳的选择。而且能够直接插在面包板上或以模块的方式放置在其它电路板上以即插即用的方式,大大简化系统的设计。 \\ {{ :webidestepfgau.png?800 |}} {{ :stepfpgalpc1.png |}} {{ :stepfpgalpc2.png |}} {{ :stepfpgalpc3.png |}} {{ :stepfpgalpc4.png |}} {{ :stepfpgalpc5.png |}} ### 2 硬件规范 --- {{ ::pin_diagram.png?600 |}} {{ ::dimension.png?600 |}} **小脚丫STEP-MXO2-LPC硬件结构** - 核心器件:Lattice LCMXO2-4000HC-4MG132 *132脚BGA封装,引脚间距0.5mm,芯片尺寸8mm x 8mm; *上电瞬时启动,启动时间<1ms; *4320个LUT资源, 96Kbit 用户闪存,92Kbit RAM; *2+2路PLL+DLL; *嵌入式功能块(硬核):一路SPI、一路定时器、2路I2C] *支持DDR/DDR2/LPDDR存储器; *104个可热插拔I/O; *内核电压2.5-3.3V; - 板载资源: *两位7段数码管; *两个RGB三色LED; *8路用户LED; *4路拨码开关; *4路按键; - 36个用户可扩展I/O(其中包括一路SPI硬核接口和一路I2C硬核接口) - 支持的开发工具Lattice Diamond - 支持思得普开发的Web IDE,无需下载软件即可在网页里编程并存盘下载 - 支持MICO32/8软核处理器 - 板上集成FPGA编程器 - 一路USB Type C接口(同时支持虚拟USB硬盘和一路USB转串口通信) - 板卡尺寸52mm x 18mm ### 3 上电测试 STEP-MXO2-C开发板通过USB供电,采用了常见USB Type C接口。开发板出厂内置测试程序,上电后: - 数码管:循环显示0~7之间的数字 - 流水灯:依次闪烁实现流水效果 - 三色灯:两个三色灯逐次显示红,绿,蓝,白颜色 - 拨码开关:控制数码管、流水灯及三色灯闪烁的速度, * 四位拨码开关全部拨至下端,闪烁速度最快(0.5s左右) * 四位拨码开关全部拨至上端,闪烁速度最慢(2s左右) * 四位拨码开关处于其他状态时,闪烁速度适中(1s左右) - 按键开关:控制数码管、流水灯及三色灯闪烁的方向及显示的亮度, * K1:控制数码管、流水灯及三色灯闪烁的方向或顺序 * K2:控制所有显示的亮度,分六级亮度等级,按K2亮度增强 * K3:控制所有显示的亮度,分六级亮度等级,按K3亮度减弱 * K4:软件复位 ### 4 使用说明 STEP-MXO2-LPC的编程芯片已经集成到小脚丫开发板上,因此只需要一根USB Type-C线和电脑相连,就可以完成供电和编程的功能,无需安装驱动。 \\ 开发过程无需安装任何软件,可以直接在浏览器中操作,只需登陆[[https://www.stepfpga.com|小脚丫FPGA官网]],注册一个账号,即可在任意电脑上使用。 熟悉Lattice Diamond软件的用户仍然可以使用这款软件,该软件的安装和操作简单易上手,操作过程与其他厂商的FPGA设计工具大同小异。 \\ STEP-MXO2-LPC板在进行程序下载时与STEP MXO2-C版本相同,将该板卡与PC链接后,将被识别成“大容量存储设备”,你只需要将程序生成的.JED文件复制进入板卡之中,即可完成下载。 \\ 点击查看[[快速上手step-mxo2-c|STEP-MXO2-C快速上手指南]] \\ [[快速上手step-mxo2-c|{{ ::mxo2-c-下载连接.png?800 |}}]] \\ 操作步骤如下:\\ \\ 1.[[软件安装及配置|安装Lattice Diamond软件]] \\ \\ 2.新建工程,输入设计文件,综合、管脚约束、布局布线并输出JED文件; \\ ^{{ :diamond设计输入.png?500 |}}|^{{ ::综合.png?500 |}}^ \\ ^设计输入|^综合^ ^{{ ::管脚约束.png?500 |}}|^{{ ::编译.png?500 |}}^ \\ ^管脚分配|^编译生成JED文件^ \\ 3.将板卡连接至PC,在电脑资源管理器中会出现一个名为STEP FPGA的U盘;\\ {{ :mxo2-c-u盘.png?500 |}} \\ 4.在工程目录下找到生成的JED文件 \\ {{ :mxo2-c-下载.png?500 |}} \\ 5.将JED文件复制进入名为STEP FPGA的大容量存储设备中; \\ {{ :mxo2-c-下载2.png?400 |}} \\ 6.下载完成。 \\ \\ 点击查看[[快速上手step-mxo2-c|STEP-MXO2-C快速上手详细操作步骤]] \\ ### 5 文档资料 * {{:step-mxo2-c大赛专用版硬件手册v1.1.pdf|STEP-MXO2-C大赛专用版硬件手册}} * {{:step-mxo2-c大赛专用版软件手册v1.1.pdf|STEP-MXO2-C大赛专用版软件手册}} * {{:step-mxo2-lpc.pdf|STEP-MXO2--LPC原理图}} * {{::lattice_diamond_安装配置.pdf|Lattice Diamond安装配置}} * [[快速上手step-mxo2-c|STEP-MXO2-C快速上手指南]] * [[STEP-MXO2入门教程|STEP-MXO2 FPGA 入门教程]] * [[step-mxo2外设驱动|STEP-MXO2 FPGA 进阶教程]] * [[project|STEP-MXO2 FPGA 项目实战]] ### 6 引脚定义 {{ ::fpgapin_更新.png?1000 |}} **STEP-MXO2-LPC版本外设管脚分配** ### 7 版本对比 ^ |**STEP MXO2 V2版本** |**STEP MXO2-C版本** |**STEP MXO2-LPC版本**| ^下载芯片|FT232|STM32F072|LPC11U35| ^下载方式 |Lattice Diamond Programmer|由PC识别为U盘,将JED复制进入完成下载|由PC识别为U盘,将JED复制进入完成下载(支持一路串口)| ^USB连接器 |Micro USB|Micro USB|USB Type C| ^支持串口通信?|不支持|不支持|支持| \\ ### 8 产品包装 ### 9 购买链接 * [[https://item.taobao.com/item.htm?spm=a1z10.5-c-s.w4002-15824061530.18.48c1e6e5pPBSdo&id=663211346704&mt=|淘宝购买链接 - 299元]]